واضی فایل

دانلود کتاب، جزوه، تحقیق | مرجع دانشجویی

واضی فایل

دانلود کتاب، جزوه، تحقیق | مرجع دانشجویی

فایل کارآموزی پتروشیمی شهید تندگویان، سخت افزار کامپیوتر و عیب یابی آن.

فایل کارآموزی پتروشیمی شهید تندگویان، سخت افزار کامپیوتر و عیب یابی آن.

 

کارآموزی پتروشیمی شهید تندگویان

آشنایی با سخت افزار کامپیوتر و عیب یابی آن      موضوع کار آموزی

فرمت فایل: ورد

تعداد صفحات:74

 

 

 

مقدمه:

رشد و توسعه شبکه های کامپیوتری بر کسی پوشیده نیست. مدتهاست که جمع آوری و پردازش اطلاعات توسط کامپیوتر انجام می شود. علاوه بر این، کامپیوتر در توزیع اطلاعات و برقراری ارتباط از طریق شبکه های کامپیوتری نقش مهمی را بازی می کند. امروزه نه تنها تمام کامپیوترهای موجود در یک سازمان، مؤسسه، شرکت و اداره با هم وصل می شوند تا شبکه های کامپیوتری را بوجود آورند، بلکه شبکه های مختلف دنیا به هم وصل شده اند تا اینترنت را بوجود آورند، اینترنت یکی از پدیده های شبکه های کامپیوتری است که به کمک ارتباطات و انتقال اطلاعات آمده است.

مقدمه

تشکر و قدردانی

فصل اول: آشنایی کلی با مکان کارآموزی

1-1. تاریخچه سازمان  

1-2. دستاوردها و ویژگی های مهم  سازمان

.3 -1محصولات شرکت

.4-1نمودار سازمانی شرکت

فصل دوم: ارزیابی بخشهای مرتبط با رشته  فناوری اطلاعات

2-1. جایگاه فناوری اطلاعات و شرح وظایف در شرکت پتروشیمی تند گویان

2-1-1. بخش شبکه

2-1-2. بخش سخت افزار

2-1-3. بخش نرم افزار

 

 

2-2. امور جاری در دست اقدام

2-2-1. شبکه بندی

2-2-2. مدل های شبکه

2-2-3. اجزا شبکه

2-2-4. توپولوژیهای مورد استفاده

2-2-5. ابزار های اتصال دهنده

2-2-6. ارسال سیگنال و پهنای باند

2-2-7. عملکرد شبکه

2-2-8. کابل شبکه

2-3. برنامه های آینده

فصل سوم: آزمون آموختهها، نتایج و پیشنهادات

3-1. آموزش تصویری بستن شبکه در محل کار

3-1-1. تجهیزات مورد نیاز

3-1-2.  نرم افزارهای مورد نیاز

.2-3 فعالیت های انجام شده و نحوه انجام فعالیت

 

 

نتیجه گیری

پیوست ها

پیوست 1

پیوست 2



خرید و دانلود فایل کارآموزی پتروشیمی شهید تندگویان، سخت افزار کامپیوتر و عیب یابی آن.


دانلود تحقیق در مورد شبیه سازی و پیاده سازی مدار سخت افزار پایه به کمک VHDL 38 ص (word)

لینک دانلود و خرید پایین توضیحات

فرمت فایل word  و قابل ویرایش و پرینت

تعداد صفحات: 37

 

چکیده

شبیه سازی و پیاده سازی مدار سخت افزار پایه به کمک VHDL .

در این پروژه یک مدار سخت افزاری با 17 ورودی و 2 خروجی از نوع qit کد نویسی و شبیه سازی شده و برای پیکربندی روی چیپ های FPGA یا CPLD آماده شده است .

کد نویسی این مدار بوسیله زبان VHDL و شیه سازی آن بوسیله نرم افزار model sim صورت گرفته است . مراحل آنالیز و سنتز قطعه کد های VHDL توسط دو برنامه foundation 2.1و FPGA express از شرکت xilinx انجام شده است .

در صفحات بعدی این مقاله هر قسمت از روال فوق را که عبارت اند از : کد نویسی اولیه و مشکلات کامپایل ، آنالیز قطعه کدهای غیر استاندارد ، استاندارد کردن قطعه کدها و سنتز آنها می باشد . بطور کامل توضیح داده ام . همچنین در پایان در قسمت اجرایی نحوه تولید فایل باینری نهایی جهت برنامه ریزی روی چیپ XC4005XLPC84 که یک FPGA از خانواده XC4000XL است را مشاهده می کنید .

امید دارم با مطالعه این مقاله به اطلاعات شما در این زمینه افزوده شود .

مقدمه

طی چند دهه اخیر ،مدارهای الکترونیکی پیشرفت قابل ملاحظه ای داشته اند . با پیچیده تر شدن هرچه بیشتر این مدارها ،نیاز به یافتن روشهایی است که سیستمها را بتوان با مجتمع سازی و جزئیات بیشتر طراحی و پیاده سازی نمود. قطعات قابل برنامه ریزی و FPGA ها ،آی سی هایی هستند که به تبع این پیشرفتها به بازار عرضه شده اند . هزینه ساخت کم و جزئیات زیاد این آی سی ها نسبت به حجم آنها،همچنین قابلیت برنامه ریزی شدن این قطعات بوسیله برنامه های نرم افزاری معمول و نرم افزارهای طراحی شماتیک باعث افزایش کاربرد این قطعات شده است . چنین پیش بینی می شود که با وجود این پیشرفت،آینده در تسخیر این قطعات قرار گیرد تا جائیکه بتوان بوسیله آنها تمامی یک سیستم پیچیده را به سادگی طراحی و اجرا نمود .

در این میان زبان توصیف سخت افزاری VHDL نقش مهمی را در طراحی و شبیه سازی مدارات سخت افزاری به عهده دارد . در این قسمت لازم می دانم تا توضیحی اجمالی از نحوه عملکرد و مزایای این زبان به شما ارائه کنم .

مروری بر VHDL

VHDLروش توسعه یافتهای از توصیف رفتار سیستمهای منطقی به وسیله روابط منطقی است . این زبان بسیاری از مشخصه های روابط منطقی و روابط حالت را در درون خود دارد .

زبان VHDL امروزه به عنوان استاندارد صنعتی MIL STD 454L معرفی شده است و تمامی طرحهای ASIC مربوط به دپارتمان دفاع ایالت متحده آمریکا باید طبق این زبان استاندارد نوشته شوند .

این زبان به عنوان قسمتی از پروژه VHSIC (مدارهای مجتمع با سرعت خیلی بالا ) ارائه شده است و به وسیله آن می توان ASICهای پیچیده را بدون مراجعه به تکنولوژی مشخصی ،تعریف و شبیه سازی نمود . زمانی که یک مدار به وسیله این زبان تعریف می گردد . می توان آنرا به هر پروسه منطقی و یا بر روی ماژولهای طراحی شده توسط هریک از تولیدکننده های ابزارهای منطقی انتقال داد .

(VHSIC HDL) VHDL یک سیستم منطقی را بصورت ساختار بالا باپائین توصیف می کند . برای بدست آوردن توصیفی از یک سیستم به صورت ساختار بالا به پایین ،سیستم را به صورت مجموعة ای از زیرسیستمها تقسیم می کنیم که بوسیله یک سری رابطه به هم متصل می گردند هریک از این زیرسیستمهای بالایی را می توان به توابع و زیرسیستمهای کوچکتر تقسیم کرد . این عمل همچنان ادامه می یابد تا به پائین ترین سطح از سیستم دست بیابیم که در این سطح هریک از سیستم ها را می توان بوسیله گیتها و ماژولهای آماده دیگر طراحی نمود .

به این ترتیب ، بدلیل آنکه هریک از طبقات این ساختار منطقی به صورت یکتا مشخص شدهاند ،هریک از آنها را میتوان به تنهایی شبیه سازی نمود و تابع منطقی اجرا شده بوسیله آنها را آزمایش کرده و خطاهای احتمالی را برطرف نمود . ابتدا صحت عملکرد پایین ترین طبقه این سیستم را آزمایش کرده و با ترکیب زیر سیستمهای پایین تر به زیرسیستمهای پیچیده تر می رسیم تا جائیکه به طرح سیستم موردنظر که در بالاترین طبقه این ساختار وجود دارد برسیم . پس از انجام این عمل ، به مرحله ترکیب می رسیم که در آن کل طرح را پیاده کرده وسپس برای بدست آوردن پارامترهای زمانی آن ،عمل شبیه سازی را انجام می دهیم .

این طرح سلسله مراتبی به طراح اجازه می دهد تا بدون مشخص کردن نوع تکنولوژی ابزارهای استفاده شونده و یا قسمت کردن طرح به ابزارهای مختلف ،بتواند سیستم را به طور کامل تعریف نماید . به این ترتیب ، می توان یک سیستم کامل را بدون مشخص کردن یک ابزار خاص تعریف و آزمایش کرد . ماژولها به صورت جداگانه طراحی می شوند و می توان از آنها در طرحهای آینده نیز استفاده نمود . به عبارت دیگر برای هر طرح کتابخانه ای از توابع وجود دارد که می توان آنها را برای استفاده آینده ذخیره کرد. در این پروژه نیز از توابع و جداول و کتابخانه های مجتمع تحت عنوان basic-utility استفاده شده است .

در زمان حاضر تعدادی از زبانهای توصیف کننده مدارات سخت افزاری مانندVerilog , TI – HDL ,TEGAS , CONLAN , CDL , AHDL وجود دارند که در این میان زبانهای , AHDL,Verilog ABEL از کاربرد و اهمیت بیشتری برخوردارهستند . با تحقیقاتی که تاکنون انجام داده ام ، از نظر من زبان VHDL قویترین و پر کاربردترین زبانهای توصیفگر سخت افزار است که امکانات زیادی را به کاربر می دهد تا مدار سخت افزاری مورد نظر خود را هر چه که پیچیده باشد بواسطه این زبان توصیف کرده و کد نویسی کند . البته کار با زبان VHDL برای کسانی که تازه پا به این عرصه گذاشته اند کمی دشوار است و ممکن است با خطاهای زیادی درطول کد نویسی و کامپایل روبرو شوند . لذا شناخت کامل و دقیق این زبان و مزایای آ‌ن نسبت به سایر روشهای توصیفی را ، دارای اهمیت زیادی می دانم . زیرا اگر ما در قسمت شبیه سازی و کد نویسی مدار سخت افزاری بوسیله VHDL دچار اشکال شویم یا مدار سخت افزاری را بصورت استاندارد کد نویسی نکنیم اگر چه که قطعه کد قابل کامپایل و شبیه سازی باشد ولی در قسمت آنالیز و سنتز قطعه کدها با مشکلات زیاد و غیرقابل حلی مواجه می شویم که در برخی اوقات ما رامجبورمی کنند تا طرح هود را دوباره به یک روش دیگری کد نویسی کنیم . همانطور که در عنوان پروژه ذکر شده ، کار اصلی اینجانب شبیه سازی و کدنویسی یک مدار سخت افزاری بوده که به مرحله سنتز و آماده برای پیکر بندی روی چیپ های FPGA یا CPLD رسیده است .

در ابتدا سعی شده تا مدار سخت افزاری نمونه که یک Voter هوشمند است ( انتخابگر و تولید کننده خروجی از بین چند ورودی ) بوسیله زبان توصیف سخت افزاری VHDL کد نویسی شود .

این کار با در نظر گرفتن تمامی تاخیر های ممکن از اعمال ورودی تا فراهم شدن خروجی آن انجام گرفته است . سپس قطعه کدهای حاصل شده بوسیله برنامه کامپیوتری model sim شبیه سازی شده و با اعمال ورودی به برنامه خروجی آن تولید شده و خطاهای احتمالی مدار چه از نظر منطقی و چه از نظر مدت زمان تاخیر شناسایی شده و رفع گردیده است .

پس از اتمام کار شبیه سازی توسط برنامه کامپیوتری model sim و اطمینان از صحت عملکرد آن ، قطعه کدها را به صورت استاندارد و قابل سنتز برای پیکر بندی روی چیپ های FPGA یا CPLD تبدیل کرده ام .

کار آنالیز و سنتز قطعه کدها به کمک دو برنامه کامپیوتری ساخته شرکت xilinx با نامهای FPGA expresss و foundation 2.1 انجام گرفته است .

طرحهای سطح گیت مدار اصلی و اجزاء آن توسط نرم افزار FPGA express تولید شده که درصفحات این مقاله به چاپ رسیده است .



خرید و دانلود دانلود تحقیق در مورد شبیه سازی و پیاده سازی مدار سخت افزار پایه به کمک VHDL   38 ص (word)


فایل کارآموزی پتروشیمی شهید تندگویان، سخت افزار کامپیوتر و عیب یابی آن ..

فایل کارآموزی پتروشیمی شهید تندگویان، سخت افزار کامپیوتر و عیب یابی آن ..

 

کارآموزی پتروشیمی شهید تندگویان

آشنایی با سخت افزار کامپیوتر و عیب یابی آن      موضوع کار آموزی

فرمت فایل: ورد

تعداد صفحات:74

 

 

 

مقدمه:

رشد و توسعه شبکه های کامپیوتری بر کسی پوشیده نیست. مدتهاست که جمع آوری و پردازش اطلاعات توسط کامپیوتر انجام می شود. علاوه بر این، کامپیوتر در توزیع اطلاعات و برقراری ارتباط از طریق شبکه های کامپیوتری نقش مهمی را بازی می کند. امروزه نه تنها تمام کامپیوترهای موجود در یک سازمان، مؤسسه، شرکت و اداره با هم وصل می شوند تا شبکه های کامپیوتری را بوجود آورند، بلکه شبکه های مختلف دنیا به هم وصل شده اند تا اینترنت را بوجود آورند، اینترنت یکی از پدیده های شبکه های کامپیوتری است که به کمک ارتباطات و انتقال اطلاعات آمده است.

مقدمه

تشکر و قدردانی

فصل اول: آشنایی کلی با مکان کارآموزی

1-1. تاریخچه سازمان  

1-2. دستاوردها و ویژگی های مهم سازمان

.3 -1محصولات شرکت

.4-1نمودار سازمانی شرکت

فصل دوم: ارزیابی بخشهای مرتبط با رشته فناوری اطلاعات

2-1. جایگاه فناوری اطلاعات و شرح وظایف در شرکت پتروشیمی تند گویان

2-1-1. بخش شبکه

2-1-2. بخش سخت افزار

2-1-3. بخش نرم افزار

 

 

2-2. امور جاری در دست اقدام

2-2-1. شبکه بندی

2-2-2. مدل های شبکه

2-2-3. اجزا شبکه

2-2-4. توپولوژیهای مورد استفاده

2-2-5. ابزار های اتصال دهنده

2-2-6. ارسال سیگنال و پهنای باند

2-2-7. عملکرد شبکه

2-2-8. کابل شبکه

2-3. برنامه های آینده

فصل سوم: آزمون آموختهها، نتایج و پیشنهادات

3-1. آموزش تصویری بستن شبکه در محل کار

3-1-1. تجهیزات مورد نیاز

3-1-2. نرم افزارهای مورد نیاز

.2-3 فعالیت های انجام شده و نحوه انجام فعالیت

 

 

نتیجه گیری

پیوست ها

پیوست 1

پیوست 2



خرید و دانلود فایل کارآموزی پتروشیمی شهید تندگویان، سخت افزار کامپیوتر و عیب یابی آن ..


فایل گزارش سخت افزار کامپیوتر معرفی شرکت دیباگران مهرآریا.

فایل گزارش سخت افزار کامپیوتر معرفی شرکت دیباگران مهرآریا.

 

گزارش سخت افزار کامپیوتر معرفی شرکت دیباگران مهرآریا

فرمت فایل: ورد

تعداد صفحات: 76

 

 

 

 

 

فهرست

مقدمه  

معرفی شرکت دیباگران مهرآریا   

داخل محفظة سیستم اصلی        

مروری بر عملکرد کامپیوتر و اجزای آن    

اتصال اجزای کامپیوتر    

اندازه گیری سرعت عملکرد اجزای کامپیوتر         

BIOS سیستم   

مادربورد          

ریزپردازنده      

حافظه   

ROM   

صفحه کلید       

ماوس  

مونیتور            

هاردیسک        

درایور فلاپی     

مودم   

درایور CD- ROM         

ساختار CD       

منبع تغذیه       

شبکه   

کارت گرافیک (VGA)     

کارت صوتی      

کیس   

آب امپ تستر   

تقدیر نامه        

مقدمه:

بدون شک اختراع کامپیوتر موثرترین پدیده‌ها در زندگی انسان بوده است. دستگاهی که با پیشرفت روز افزون خود گره از مشکلات بشر گشوده و وی را در انجام کارهای روزمره از آسان ترین تا مشکل ترین عملیات پیچیده یاری کرده است.

نرم افزار و سخت افزار کامپیوتر دو ساختار لاینفک می‌باشند و مطالعة هر یک بدون شناخت از دیگری سودمند نخواهد بود.

ارتقای روز افزون نرم افزارهای کامپیوتر مستلزم وجود سخت افزارهای پیچیده و پیشرفت چشمگیر در این بخش می‌باشد. لذا سخت افزار کامپیوتر بحث بسیار مهمی در دستگاه کامپیوتر به شمار می‌رود. آشنایی با قطعات مختلف و طرز کار آنها همچنین نحوة ارتباط آن‌ها با یکدیگر و نیز عیوب و اشکالاتی که ممکن است برای هر یک از آن قطعات ایجاد شود موضوعاتی است که در این گزارش به آن پرداخته می‌شود.


 



خرید و دانلود فایل گزارش سخت افزار کامپیوتر معرفی شرکت دیباگران مهرآریا.


دانلود تحقیق در مورد زبان توصیف سخت افزارVHDL 22 ص (word)

لینک دانلود و خرید پایین توضیحات

فرمت فایل word  و قابل ویرایش و پرینت

تعداد صفحات: 20

 

زبان توصیف سخت افزارVHDL

VHDL زبان توصیف سخت افزاری برای طراحی مدارات دیجیتال می باشد. و مانند سایر زبانهای برنامه نویسی دارای دستورات خاص خود می باشد.

برای یادگیری زبان VHDL دانستن جبر بول و آشنایی با مدارات منطقی ضروری است. لذا ما فرض می کنیم که دوستان با دو مقوله فوق آشنایی کافی دارند.

و اما یادگیری VHDL چه مزایایی دارد. قبل از هرچیز باید گفت که هدف از یادگیری VHDL خصوصاْ در ایران طراحی مدارات دیجیتال و پیاده سازی در FPGA می باشد هرچند مدار طراحی شده را بصورت ASIC (آی سی خاص) نیز می توان پیاده سازی نمود اما غالباْ مدارات دیجیتال با هدف پیاده سازی در FPGA طراحی می شوند.

برای یادگیری VHDL در این مقطع نیاز به نرم افزاری برای شبیه سازی مدارات طراحی شده خواهیم داشت. نرم افزارهای زیادی برای این منظور وجود دارند اما بهترین و آسانترین انها Active-HDL می باشد که نتایج شبیه سازی را بصورت شکل موجهای گرافیکی نمایش می دهد. و در عین حال کار کردی آسان دارد.

تاریخچه

نام VHDL شامل دو بخش V و HDL به معنیVHSIC : Very High Speed Integrated Circuits

HDL : Hardware Description Language

استاندارد IEEE 1076-1987

استاندارد IEEE 1076-1993

Verilog و ABEL (Advanced Boolean Equation Language )

ویژگی ها

HDLها ذاتا موازی (همروند) هستند

ترتیب دستورات مهم نیست

مبتنی بر رخداد

امکان استفاده از دستورات ترتیبی را نیز دارد

اهداف اساسی

مستند سازی : نگهداری، ارائه، تبادل، استفاده مجدد

شبیه سازی : بررسی نتایج و ارزیابی

سنتز : با هدف پیاده سازی در FPGA یا بصورت ASIC

اجرا= شبیه سازی

نیازمندی ها

حداقل نیازمندی ها برای یادگیری زبان VHDL

دانستن جبر بول و آشنایی با مدارات منطقی

داشتن ابزار CAD مناسب

توصیف رفتاری و ساختاری

سه حوزه رفتاری، ساختاری و هندسی (فیزیکی) داریم

VHDL : امکان توصیف طرح بصورت رفتاری و ساختاری

نکته: توصیف رفتاری نسبت به توصیف ساختاری از سطح انتزاع بالاتری برخوردار است

توصیف رفتاری :

رفتار سیستم چیست؟

رابطه بین سیگنال های ورودی و خروجی

توصیف رفتاری :

انتقال ثبات یا Data Flow (معمولا بر اساس جملات همروند)

الگوریتمی Algorithmic(با استفاده از دستورات ترتیبی)

مثال ساده

مدار هشدار باز بودن کمربند و درب خودرو

Warning = Ignition_on AND (Door_open OR Seatbelt_off)

Ignition_on : سویچ در جایش قرار گرفته

Door_open : باز بودن درب خودرو

Seatbelt_off : کمربند بسته نشده

Warning : خروجی هشدار به سرنشین خودرو

توصیف ساختاری :

مجموعه ای از مولفه ها (دروازه ها)

و اتصالات بین آنها

توصیف ساختاری :

مشابه شماتیک

نزدیک به تحقق فیزیکی سیستم

ساختار کلی یک فایل VHDL

یک توصیف VHDL شامل

Entity declaration

Architecture body

تعریف entity در حقیقت معرفی سیگنالهای ورودی و خروجی است

architecture رابطه بین سیگنالهای ورودی و خروجی است

(عملکرد/ساختار)

بخش Entity

NAME_OF_ENTITY : یک شناسه اختیاری

signal_names : سیگنالهای ورودی یا خروجی

mode :یکی از موارد (in،out ،buffer ، Inout )

mode

In :سیگنال ورودی

out : سیگنال خروجی (فقط توسط یک entity دیگر قابل استفاده)



خرید و دانلود دانلود تحقیق در مورد زبان توصیف سخت افزارVHDL 22 ص (word)